Introduction

 People

 Research themes:

   Embedded Systems

   Hybrid Systems

   Deep Submicron

   Logic Synthesis

 Other links:

   EE249

   CHESS

   GSRC

   BWRC

Deep Submicron

Latency Insensitive Design 
EM Compatibility
Substrate Noise Injection
Analog design

Publications:

L. Daniel, A. Sangiovanni-Vincentelli, J. White, Techniques for Including Dielectrics when Extracting Passive Low-Order models of High Speed Interconnect, International Conference on Computer Aided Design, San Jose, November 2001.

L.P. Carloni, K.L. McMillan and A.L. Sangiovanni-Vincentelli, Theory of Latency-Insensitive Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. Vol. 20, No. 9, September 2001.

L. Daniel, A. Sangiovanni-Vincentelli, J. White, Conduction Modes Basis Functions for Efficient Electromagnetic Analysis of On-Chip and Off-Chip Interconnect, Proceedings of the Design Automation Conference, Las Vegas, June 2001.

S. Zanella, A. Neviani, E. Zanoni, E. Charbon, P. Miliozzi, C. Guardiani, L. Carloni, and A. L. Sangiovanni-Vincentelli, Modeling of Substrate Noise Injected by Digital Libraries, Proc. Int. Symp. Quality Electronic Design, San Jose, CA, March 2001.

L. Daniel, A. Sangiovanni-Vincentelli, J. White, Interconnect Electromagnetic Modeling using Conduction Modes as Global Basis Functions, IEEE 9th Topical Meeting on Electrical Perform. of Electronic Packages, p. 203-6, Scottsdale, AZ, 23-25 Oct. 2000.  

L. Daniel, J. White, A. Sangiovanni-Vincentelli, Interconnect Electromagnetic Modeling using Conduction Modes as Global Basis Functions, SRC TECHCON2000 (Conference Restricted to members of the Semiconductor Research Corporation), Scottsdale, AZ, Sep. 2000.

L.P. Carloni and A.L. Sangiovanni-Vincentelli, Performance Analysis and Optimization of Latency-Insensitive Systems, The Proceedings of the Design Automation Conference, June 2000.

L.P. Carloni, K.L. McMillan, A. Saldanha and A.L. Sangiovanni-Vincentelli, A Methodology for Correct-by-Construction Latency-Insensitive Design, The Proceedings of the International Conference on Computer-Aided Design, 1999.

L.P. Carloni, K.L. McMillan and A.L. Sangiovanni-Vincentelli, Latency-Insensitive Protocols, In N. Halbwachs and D. Peled, editors, Proc. of the 11th Intl. Conf. on Computer-Aided Verification, (Trento, ITALY), LNCS 1633, July 1999.

E. Charbon, P. Miliozzi, L.P. Carloni, A. Ferrari and A.L. Sangiovanni-Vincentelli, Modeling Digital Substrate Noise Injection in Mixed-Signal ICs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, No. 3, March 1999.

L. P. Carloni and A.L. Sangiovanni-Vincentelli, Recycle, Reuse, Reduce, Technical Report UCB/ERL M99/53.

H. Chang, E. Charbon, U. Choudhuri, A. Demir, E. Felt, E. Liu, E. Malavasi, A. L.
Sangiovanni-Vincentelli, and I. Vassiliou, A Top-Down Constraint-driven Methodology for Analog
Integrated Circuits, Kluwer Academic Publishers, Boston/Dordrecht/London, 1997.

P. Miliozzi, L.P. Carloni, E. Charbon and A.L. Sangiovanni-Vincentelli, SubWave: a Methodology for Modeling Digital Substrate Noise Injection in Mixed-Signal ICs. Proceedings of the IEEE 1996 Custom Integrated Circuit Conference.

E. Malavasi, U. Choudhury and A. Sangiovanni-Vincentelli, A Routing Methodology for Analog Integrated Circuits , In Proc. International Conference on Computer Aided Design, pages 202-205, Santa Clara, November 1990.

E. Malavasi, E. Charbon, G. Jusuf, R. Totaro and A. Sangiovanni-Vincentelli, Virtual Symmetry Axes for the Layout of Analog IC's , In Proc. ICVC, pages 195-198, Seoul, Korea, October 1991 

E. Liu, A. Sangiovanni-Vincentelli, G. Gielen and P. Gray, A Behavioral Representation for Nyquist Rate A/D Converters , In Proc. International Conference on Computer Aided Design, pages 386-389, Santa Clara, November 1991.

H. Chang, A. Sangiovanni-Vincentelli, F. Balarin, E. Charbon, U. Choudhury, G. Jusuf, E. Liu, E. Malavasi, R. Neff and P. Gray, A Top-down, Constraint-Driven Design Methodology for Analog Integrated Circuits, In Proc. Custom Integrated Circuit Conference, pages 841-846, Boston, May 1992. 

E. Charbon, E. Malavasi, U. Choudhury, A. Casotto and A. Sangiovanni-Vincentelli, A Constraint-Driven Placement Methodology for Analog Integrated Circuits , In Proc. Custom Integrated Circuit Conference, pages 2821-2824, Boston, May 1992 

E. Liu and A. Sangiovanni-Vincentelli, Behavioral Representations for VCO and Detectors in Phase-Lock Systems, In Proc. Custom Integrated Circuit Conference, pages 1231-1234, Boston, May 1992

E. Liu, G. Gielen, H. Chang, A. Sangiovanni-Vincentelli and P. Gray, Behavioral Modeling and Simulation of Data Converters , In Proc. International Symposium on Circuits and Systems, pages 2144-2147, San Diego, May 1992

E. Felt, E. Charbon, E. Malavasi and A. Sangiovanni-Vincentelli, An Efficient Methodology for Symbolic Compaction of Analog IC's with Multiple Symmetry Constraints, In Proc. EuroDAC, pages 148-153, Hamburg, Germany, September 1992 

P. Xiao, E. Charbon, A. Sangiovanni-Vincentelli, T. van Duzer and S. Whiteley, INDEX: An Inductance Extractor for Superconducting Circuits , In Proc. Applied Superconducting Conference, Chicago, August 1992

E. Liu and A. Sangiovanni-Vincentelli, Behavioral Simulation for Noise in Mixed-Mode Sampled-Data Systems , In Proc. International Conference on Computer Aided Design, pages 322-326, Santa Clara, November 1992.

E. Felt, E. Malavasi, E. Charbon and A. Sangiovanni-Vincentelli, Performance-Driven Compaction for Analog Integrated Circuits , In Proc. Custom Integrated Circuit Conference, pages 1731-1735, San Diego, May 1993 

E. Malavasi and D. Pandini, Optimum Stacked Layout for Analog CMOS IC's , In Proc. Custom Integrated Circuit Conference, pages 1711-1714, San Diego, May 1993 

E. Liu, H. Chang and A. Sangiovanni-Vincentelli, Analog System Verification in the Presence of Parasitics using Behavioral Simulation , In Proc. Design Automation Conference, pages 159-163, Dallas, June 1993

E. Charbon, E. Malavasi and A. Sangiovanni-Vincentelli, Generalized Constraint Generation for Analog Circuit Design, In Proc. International Conference on Computer Aided Design, pages 408-414, Santa Clara, November 1993 

E. Liu and A. Sangiovanni-Vincentelli, Nyquist Data Converter Testing and Yield Analysis using Behavioral Simulation, In Proc. International Conference on Computer Aided Design, pages 341-348, Santa Clara, November 1993

E. Charbon, E. Malavasi, D. Pandini and A. Sangiovanni-Vincentelli, Imposing Tight Specifications on Analog IC's Through Simultaneous Placement and Module Optimization, In Proc. Custom Integrated Circuit Conference, pages 525-528, San Diego, May 1994 

H. Chang, E. Liu, R. Neff, E. Felt, E. Malavasi, E. Charbon, A. Sangiovanni-Vincentelli and P. R. Gray, Top-Down, Constraint-Driven Methodology Based Generation of n-bit Interpolative Current Source D/A Converters, In Proc. Custom Integrated Circuit Conference, pages 369-372, San Diego, May 1994 

A. Demir, E. Liu, A. Sangiovanni-Vincentelli, and I. Vassiliou, Behavioral Simulation Techniques for Phase/Delay-Locked Systems, In Proc. Custom Integrated Circuit Conference, pages 453-456, San Diego, May 1994

E. Liu, W. Kao, E. Felt and A. Sangiovanni-Vincentelli, Analog Testability Analysis and Fault Diagnosis using Behavioral Modeling>, In Proc. Custom Integrated Circuit Conference, pages 413-416, San Diego, May 1994

E. Charbon, E. Malavasi, D. Pandini, A. Sangiovanni-Vincentelli, Simultaneous Placement and Module Optimization of Analog IC's, In Proc. Design Automation Conference, pages 31-35, San Diego, June 1994 

E. Felt and A. Sangiovanni-Vincentelli, Testing of Analog Systems Using Behavioral Models and Optimal Experimental Design Techniques, In Proc. International Conference on Computer Aided Design, pages 672-678, Santa Clara, November 1994

E. Felt, A. Narayan and A. Sangiovanni-Vincentelli, Measurement and Modeling of MOS transistor Current Mismatch in Analog IC's, In Proc. International Conference on Computer Aided Design, pages 272-277, Santa Clara, November 1994

A. Demir, E. Liu and A. Sangiovanni-Vincentelli, Time-Domain non-Monte Carlo Noise Simulation for Nonlinear Dynamic Circuits with Arbitrary Excitations , In Proc. International Conference on Computer Aided Design, pages 598-603, Santa Clara, November 1994

E. Charbon, G. Holmlund, B. Donecker, A. Sangiovanni-Vincentelli, A Performance-Driven Router for RF and Microwave Analog Circuit Design, in Proc. Custom Integrated Circuit Conference, pages 383-386, Santa Clara, May 1995 

E. Malavasi and A. Sangiovanni-Vincentelli, Dynamic Bound Generation for Constraint-Driven Routing, in Proc. Custom Integrated Circuit Conference, pages 477-480, Santa Clara, May 1995 

H. Chang, E. Felt, A. Sangiovanni-Vincentelli, Top-Down Design of a Sigma Delta Converter, in Proc. Custom Integrated Circuit Conference, pages 533-536, Santa Clara, May 1995

Notice: This material is presented to ensure timely dissemination of scholarly and technical work. Copyright and all rights therein are retained by authors or by other copyright holders. All persons copying this information are expected to adhere to the terms and constraints invoked by each author's copyright.

Contact 
©2002-2018 U.C. Regents